Haberler:

Foruma Resim Yükleme ve Boyut Sınırlaması ( ! )  https://bit.ly/2GMFb8H

Ana Menü

picbasic proda wav çalmak

Başlatan dentaytr, 12 Ocak 2008, 04:03:52

dentaytr

merhaba arkadaşlar waw dosyalarını asmy'e çevirebiliyorum fakat picbasic proda nasıl bir komut satırı kullanıcam yardımcı olabilirmisiniz harici eprom kullanmayı düşünmüyorum direk picten kısa olan wavları nasıl çalabilirim yardımcı olursanız cok sevinirim saygılar...
"İnsanlara akılları ölçüsünde söz söyleyiniz."  hayat 1 ve 0 dan ibaret değildir insanlar hayatı 1 ve 0 a indirgeyerek anlamaya ve çözümlemeye calışır hayat sonsuz sayıda rakam ve sonsuz ilimden meydana gelir   http://www.robo-cards.blogspot

Maxim

asm ye nasıl çeviriyorsunuz ?
picin kendi hafızası düşük olacağından kaliteyide çok düşürmek gerekecek , kaç bitlik bir çözünürlük düşündünüz ?

dentaytr

kalite 1bit  :)  aslında çok önemli değil kalite, low pass ile biraz artıyo fakat elde ettiğim şu kodu pic basic proda nasıl kullanacağımı bilemiyorum epromsuz ama,
kullandığım program linki şu:  
[/code]link
"İnsanlara akılları ölçüsünde söz söyleyiniz."  hayat 1 ve 0 dan ibaret değildir insanlar hayatı 1 ve 0 a indirgeyerek anlamaya ve çözümlemeye calışır hayat sonsuz sayıda rakam ve sonsuz ilimden meydana gelir   http://www.robo-cards.blogspot

strom

Bir tane asm kodu göndersene.Belki PBP de değil de PROTON da derleyebilirim.

dentaytr

uğraştığın için teşekkür ederim proton daha iyi olur benim için,bu kodları 628de çalmaya çalıştım ama beceremedim:)
kolay gelsin

[code]
;=====================================================
; AUTO CREATED FILE made by Windows BTc Sound Encoder
; v1.1     Copyright 2002 - Roman Black
;
; Hippyware.  
; www.RomanBlack.com
;=====================================================
;
; File Details:
; Size 34144 bits     (4268 bytes)
; Sound encoded at 22050 bits/sec
; using BTc16 1bit Algorithm to be decoded on
; the following circuit:
;
;
;             R = 3193 ohms  
;  
; Digital -----------R-----*----- Analogue  
;                          |      out  
;                          |    
;                          |    
;                          C = 0.22 uF    
;                          |    
;                          |    
;                          |    
;                         Gnd    
;
;
;=====================================================
; Bitstream data is in PIC .asm table format,
; in blocks of 256 bytes.
;
; Bits are played from left to right, from ms_bit to
; ls_bit.
;=====================================================


;---------------------------------------------------
    org (1 *256)          ; block = 1  
;---------------------------------------------------


    ;-------------------------  0
    retlw b'10101010'   ; aa
    retlw b'10101010'   ; aa
    retlw b'10100101'   ; a5
    retlw b'10100101'   ; a5
    retlw b'10011001'   ; 99
    retlw b'10010110'   ; 96
    retlw b'10100101'   ; a5
    retlw b'01100100'   ; 64
    ;-------------------------  8
    retlw b'10111010'   ; ba
    retlw b'10001101'   ; 8d
    retlw b'10010010'   ; 92
    retlw b'11001011'   ; cb
    retlw b'00101100'   ; 2c
    retlw b'11001001'   ; c9
    retlw b'10101001'   ; a9
    retlw b'01011010'   ; 5a
    ;-------------------------  16
    retlw b'01100110'   ; 66
    retlw b'10100101'   ; a5
    retlw b'01011001'   ; 59
    retlw b'10010101'   ; 95
    retlw b'10001011'   ; 8b
    retlw b'01001100'   ; 4c
    retlw b'11010110'   ; d6
    retlw b'00101101'   ; 2d
    ;-------------------------  24
    retlw b'00110011'   ; 33
    retlw b'01010001'   ; 51
    retlw b'01101100'   ; 6c
    retlw b'11001101'   ; cd
    retlw b'01000111'   ; 47
    retlw b'00110110'   ; 36
    retlw b'00110101'   ; 35
    retlw b'00011100'   ; 1c
    ;-------------------------  32
    retlw b'10111000'   ; b8
    retlw b'10101100'   ; ac
    retlw b'01110010'   ; 72
    retlw b'11100010'   ; e2
    retlw b'10110001'   ; b1
    retlw b'11010011'   ; d3
    retlw b'10001010'   ; 8a
    retlw b'11001010'   ; ca
    ;-------------------------  40
    retlw b'11001110'   ; ce
    retlw b'00101011'   ; 2b
    retlw b'00110011'   ; 33
    retlw b'01101001'   ; 69
    retlw b'01001100'   ; 4c
    retlw b'11001101'   ; cd
    retlw b'10100101'   ; a5
    retlw b'00110101'   ; 35
    ;-------------------------  48
    retlw b'00101110'   ; 2e
    retlw b'10010100'   ; 94
    retlw b'11010101'   ; d5
    retlw b'10011010'   ; 9a
    retlw b'01010110'   ; 56
    retlw b'01100101'   ; 65
    retlw b'10101001'   ; a9
    retlw b'01011010'   ; 5a
    ;-------------------------  56
    retlw b'10010110'   ; 96
    retlw b'11001001'   ; c9
    retlw b'01101010'   ; 6a
    retlw b'01011011'   ; 5b
    retlw b'00101001'   ; 29
    retlw b'11010010'   ; d2
    retlw b'01101100'   ; 6c
    retlw b'10100111'   ; a7
    ;-------------------------  64
    retlw b'01001011'   ; 4b
    retlw b'00110010'   ; 32
    retlw b'10111001'   ; b9
    retlw b'00101100'   ; 2c
    retlw b'11001010'   ; ca
    retlw b'11101001'   ; e9
    retlw b'00110011'   ; 33
    retlw b'00101011'   ; 2b
    ;-------------------------  72
    retlw b'10100100'   ; a4
    retlw b'11001101'   ; cd
    retlw b'01001110'   ; 4e
    retlw b'00110100'   ; 34
    retlw b'10110101'   ; b5
    retlw b'00111000'   ; 38
    retlw b'11010001'   ; d1
    retlw b'11010100'   ; d4
    ;-------------------------  80
    retlw b'11100010'   ; e2
    retlw b'11000111'   ; c7
    retlw b'01010011'   ; 53
    retlw b'10001011'   ; 8b
    retlw b'00011011'   ; 1b
    retlw b'01001100'   ; 4c
    retlw b'01101001'   ; 69
    retlw b'01101011'   ; 6b
    ;-------------------------  88
    retlw b'00110001'   ; 31
    retlw b'10100101'   ; a5
    retlw b'10101001'   ; a9
    retlw b'10101100'   ; ac
    retlw b'10001110'   ; 8e
    retlw b'10100110'   ; a6
    retlw b'01100110'   ; 66
    retlw b'00111010'   ; 3a
    ;-------------------------  96
    retlw b'10011001'   ; 99
    retlw b'10010010'   ; 92
    retlw b'11010110'   ; d6
    retlw b'01010110'   ; 56
    retlw b'01001011'   ; 4b
    retlw b'01011001'   ; 59
    retlw b'00110011'   ; 33
    retlw b'00101101'   ; 2d
    ;-------------------------  104
    retlw b'01100010'   ; 62
    retlw b'11001010'   ; ca
    retlw b'10110101'   ; b5
    retlw b'00011011'   ; 1b
    retlw b'01001010'   ; 4a
    retlw b'11010100'   ; d4
    retlw b'01011101'   ; 5d
    retlw b'00101010'   ; 2a
    ;-------------------------  112
    retlw b'11010001'   ; d1
    retlw b'01101100'   ; 6c
    retlw b'11001010'   ; ca
    retlw b'11000101'   ; c5
    retlw b'10100110'   ; a6
    retlw b'01101001'   ; 69
    retlw b'00101110'   ; 2e
    retlw b'10011001'   ; 99
    ;-------------------------  120
    retlw b'10101100'   ; ac
    retlw b'01010110'   ; 56
    retlw b'01100110'   ; 66
    retlw b'10110001'   ; b1
    retlw b'11001011'   ; cb
    retlw b'01001010'   ; 4a
    retlw b'11000110'   ; c6
    retlw b'01101100'   ; 6c
    ;-------------------------  128
    retlw b'01101010'   ; 6a
    retlw b'01011001'   ; 59
    retlw b'01110001'   ; 71
    retlw b'01101001'   ; 69
    retlw b'01101001'   ; 69
    retlw b'11000101'   ; c5
    retlw b'01100101'   ; 65
    retlw b'10100111'   ; a7
    ;-------------------------  136
    retlw b'00010101'   ; 15
    retlw b'10010101'   ; 95
    retlw b'10011100'   ; 9c
    retlw b'01010110'   ; 56
    retlw b'01100110'   ; 66
    retlw b'11010010'   ; d2
    retlw b'10011010'   ; 9a
    retlw b'10011011'   ; 9b
    ;-------------------------  144
    retlw b'01001010'   ; 4a
    retlw b'01101010'   ; 6a
    retlw b'01011101'   ; 5d
    retlw b'00101001'   ; 29
    retlw b'10110010'   ; b2
    retlw b'01110100'   ; 74
    retlw b'10101101'   ; ad
    retlw b'01001011'   ; 4b
    ;-------------------------  152
    retlw b'01010010'   ; 52
    retlw b'10110101'   ; b5
    retlw b'00101101'   ; 2d
    retlw b'01001010'   ; 4a
    retlw b'11010101'   ; d5
    retlw b'00110101'   ; 35
    retlw b'01010011'   ; 53
    retlw b'10100100'   ; a4
    ;-------------------------  160
    retlw b'11011001'   ; d9
    retlw b'01001110'   ; 4e
    retlw b'10010110'   ; 96
    retlw b'01100101'   ; 65
    retlw b'00111010'   ; 3a
    retlw b'01011001'   ; 59
    retlw b'10010101'   ; 95
    retlw b'11001010'   ; ca
    ;-------------------------  168
    retlw b'01100110'   ; 66
    retlw b'01010111'   ; 57
    retlw b'00011001'   ; 19
    retlw b'00111001'   ; 39
    retlw b'01011100'   ; 5c
    retlw b'01101000'   ; 68
    retlw b'11101010'   ; ea
    retlw b'01110001'   ; 71
    ;-------------------------  176
    retlw b'10100011'   ; a3
    retlw b'10101001'   ; a9
    retlw b'11000101'   ; c5
    retlw b'10001110'   ; 8e
    retlw b'10100110'   ; a6
    retlw b'10100110'   ; a6
    retlw b'00110110'   ; 36
    retlw b'10011000'   ; 98
    ;-------------------------  184
    retlw b'11010010'   ; d2
    retlw b'11010110'   ; d6
    retlw b'01100110'   ; 66
    retlw b'01001011'   ; 4b
    retlw b'01011001'   ; 59
    retlw b'01011001'   ; 59
    retlw b'00101101'   ; 2d
    retlw b'01001100'   ; 4c
    ;-------------------------  192
    retlw b'11001010'   ; ca
    retlw b'01110101'   ; 75
    retlw b'00101011'   ; 2b
    retlw b'00100101'   ; 25
    retlw b'10101100'   ; ac
    retlw b'10101101'   ; ad
    retlw b'00100110'   ; 26
    retlw b'10110010'   ; b2
    ;-------------------------  200
    retlw b'01100110'   ; 66
    retlw b'10011010'   ; 9a
    retlw b'11000101'   ; c5
    retlw b'10100110'   ; a6
    retlw b'01101011'   ; 6b
    retlw b'00010110'   ; 16
    retlw b'10011001'   ; 99
    retlw b'10101000'   ; a8
    ;-------------------------  208
    retlw b'11011010'   ; da
    retlw b'01100110'   ; 66
    retlw b'10100010'   ; a2
    retlw b'11011001'   ; d9
    retlw b'10010110'   ; 96
    retlw b'10001011'   ; 8b
    retlw b'01001110'   ; 4e
    retlw b'01010110'   ; 56
    ;-------------------------  216
    retlw b'00101101'   ; 2d
    retlw b'00111001'   ; 39
    retlw b'01011000'   ; 58
    retlw b'11100101'   ; e5
    retlw b'10100101'   ; a5
    retlw b'01100011'   ; 63
    retlw b'10010110'   ; 96
    retlw b'10010101'   ; 95
    ;-------------------------  224
    retlw b'10010110'   ; 96
    retlw b'01011001'   ; 59
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    ;-------------------------  232
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    ;-------------------------  240
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010100'   ; 54
    ;-------------------------  248
    retlw b'11010100'   ; d4
    retlw b'11010100'   ; d4
    retlw b'11001011'   ; cb
    retlw b'01010011'   ; 53
    retlw b'00110011'   ; 33
    retlw b'00011011'   ; 1b
    retlw b'01001100'   ; 4c
    retlw b'11001001'   ; c9


;---------------------------------------------------
    org (2 *256)          ; block = 2  
;---------------------------------------------------


    ;-------------------------  256
    retlw b'01101011'   ; 6b
    retlw b'00101011'   ; 2b
    retlw b'00100101'   ; 25
    retlw b'10101100'   ; ac
    retlw b'10101001'   ; a9
    retlw b'10010110'   ; 96
    retlw b'10110010'   ; b2
    retlw b'01100101'   ; 65
    ;-------------------------  264
    retlw b'01011010'   ; 5a
    retlw b'10010101'   ; 95
    retlw b'10100101'   ; a5
    retlw b'01101010'   ; 6a
    retlw b'01010110'   ; 56
    retlw b'10011001'   ; 99
    retlw b'01101001'   ; 69
    retlw b'01010110'   ; 56
    ;-------------------------  272
    retlw b'01100101'   ; 65
    retlw b'01100011'   ; 63
    retlw b'01010011'   ; 53
    retlw b'00110101'   ; 35
    retlw b'10001011'   ; 8b
    retlw b'01001100'   ; 4c
    retlw b'11010110'   ; d6
    retlw b'00110101'   ; 35
    ;-------------------------  280
    retlw b'00110011'   ; 33
    retlw b'01010001'   ; 51
    retlw b'11001100'   ; cc
    retlw b'11001101'   ; cd
    retlw b'01000111'   ; 47
    retlw b'00100111'   ; 27
    retlw b'00101001'   ; 29
    retlw b'10011100'   ; 9c
    ;-------------------------  288
    retlw b'10011100'   ; 9c
    retlw b'10100110'   ; a6
    retlw b'01100110'   ; 66
    retlw b'11010010'   ; d2
    retlw b'10110001'   ; b1
    retlw b'10011011'   ; 9b
    retlw b'01001010'   ; 4a
    retlw b'11001010'   ; ca
    ;-------------------------  296
    retlw b'11001110'   ; ce
    retlw b'01001011'   ; 4b
    retlw b'00110011'   ; 33
    retlw b'00111001'   ; 39
    retlw b'00101100'   ; 2c
    retlw b'11001001'   ; c9
    retlw b'11100101'   ; e5
    retlw b'00110101'   ; 35
    ;-------------------------  304
    retlw b'00101101'   ; 2d
    retlw b'10010100'   ; 94
    retlw b'11010101'   ; d5
    retlw b'00110110'   ; 36
    retlw b'01010110'   ; 56
    retlw b'01100100'   ; 64
    retlw b'11011001'   ; d9
    retlw b'01011010'   ; 5a
    ;-------------------------  312
    retlw b'10010110'   ; 96
    retlw b'01101001'   ; 69
    retlw b'01101010'   ; 6a
    retlw b'10011011'   ; 9b
    retlw b'00101001'   ; 29
    retlw b'10101010'   ; aa
    retlw b'01101100'   ; 6c
    retlw b'10100111'   ; a7
    ;-------------------------  320
    retlw b'01001011'   ; 4b
    retlw b'00110010'   ; 32
    retlw b'10110101'   ; b5
    retlw b'01001100'   ; 4c
    retlw b'11001010'   ; ca
    retlw b'11010101'   ; d5
    retlw b'00110011'   ; 33
    retlw b'01001011'   ; 4b
    ;-------------------------  328
    retlw b'10001101'   ; 8d
    retlw b'10001101'   ; 8d
    retlw b'01100110'   ; 66
    retlw b'00110110'   ; 36
    retlw b'00110101'   ; 35
    retlw b'00111000'   ; 38
    retlw b'11010010'   ; d2
    retlw b'11010100'   ; d4
    ;-------------------------  336
    retlw b'11100010'   ; e2
    retlw b'11001010'   ; ca
    retlw b'11010011'   ; d3
    retlw b'01010011'   ; 53
    retlw b'00011011'   ; 1b
    retlw b'01001100'   ; 4c
    retlw b'11001100'   ; cc
    retlw b'01101101'   ; 6d
    ;-------------------------  344
    retlw b'00110011'   ; 33
    retlw b'00100101'   ; 25
    retlw b'10101100'   ; ac
    retlw b'11001101'   ; cd
    retlw b'00010110'   ; 16
    retlw b'10100110'   ; a6
    retlw b'10100110'   ; a6
    retlw b'01011010'   ; 5a
    ;-------------------------  352
    retlw b'10011001'   ; 99
    retlw b'10010010'   ; 92
    retlw b'11011010'   ; da
    retlw b'01010110'   ; 56
    retlw b'10001011'   ; 8b
    retlw b'01011001'   ; 59
    retlw b'01010011'   ; 53
    retlw b'00101101'   ; 2d
    ;-------------------------  360
    retlw b'01100100'   ; 64
    retlw b'11011000'   ; d8
    retlw b'10110101'   ; b5
    retlw b'10001011'   ; 8b
    retlw b'01001010'   ; 4a
    retlw b'11010100'   ; d4
    retlw b'10101101'   ; ad
    retlw b'00110011'   ; 33
    ;-------------------------  368
    retlw b'01010010'   ; 52
    retlw b'01101100'   ; 6c
    retlw b'11001011'   ; cb
    retlw b'01000101'   ; 45
    retlw b'10100111'   ; a7
    retlw b'00101001'   ; 29
    retlw b'01001110'   ; 4e
    retlw b'10011001'   ; 99
    ;-------------------------  376
    retlw b'10100100'   ; a4
    retlw b'10110110'   ; b6
    retlw b'01100110'   ; 66
    retlw b'10100010'   ; a2
    retlw b'11011001'   ; d9
    retlw b'10011010'   ; 9a
    retlw b'10001110'   ; 8e
    retlw b'01001110'   ; 4e
    ;-------------------------  384
    retlw b'01010011'   ; 53
    retlw b'00111001'   ; 39
    retlw b'00111001'   ; 39
    retlw b'01001100'   ; 4c
    retlw b'11100101'   ; e5
    retlw b'10100101'   ; a5
    retlw b'01100011'   ; 63
    retlw b'00110110'   ; 36
    ;-------------------------  392
    retlw b'10010101'   ; 95
    retlw b'10010101'   ; 95
    retlw b'10011100'   ; 9c
    retlw b'01010110'   ; 56
    retlw b'01100110'   ; 66
    retlw b'11010010'   ; d2
    retlw b'01011001'   ; 59
    retlw b'10011011'   ; 9b
    ;-------------------------  400
    retlw b'01001010'   ; 4a
    retlw b'01101010'   ; 6a
    retlw b'01011101'   ; 5d
    retlw b'00101001'   ; 29
    retlw b'10110010'   ; b2
    retlw b'01110100'   ; 74
    retlw b'10101101'   ; ad
    retlw b'01001011'   ; 4b
    ;-------------------------  408
    retlw b'01010010'   ; 52
    retlw b'10110101'   ; b5
    retlw b'00101101'   ; 2d
    retlw b'01010010'   ; 52
    retlw b'11011001'   ; d9
    retlw b'00110101'   ; 35
    retlw b'01010011'   ; 53
    retlw b'10100100'   ; a4
    ;-------------------------  416
    retlw b'11011001'   ; d9
    retlw b'01001110'   ; 4e
    retlw b'10010110'   ; 96
    retlw b'01100101'   ; 65
    retlw b'00111010'   ; 3a
    retlw b'01011001'   ; 59
    retlw b'10010101'   ; 95
    retlw b'11001010'   ; ca
    ;-------------------------  424
    retlw b'01100110'   ; 66
    retlw b'01010111'   ; 57
    retlw b'00011001'   ; 19
    retlw b'00111010'   ; 3a
    retlw b'01011100'   ; 5c
    retlw b'01101000'   ; 68
    retlw b'11101010'   ; ea
    retlw b'01110001'   ; 71
    ;-------------------------  432
    retlw b'10100011'   ; a3
    retlw b'10101001'   ; a9
    retlw b'10100101'   ; a5
    retlw b'10001110'   ; 8e
    retlw b'10100110'   ; a6
    retlw b'10100110'   ; a6
    retlw b'00110110'   ; 36
    retlw b'10011000'   ; 98
    ;-------------------------  440
    retlw b'11010010'   ; d2
    retlw b'11010110'   ; d6
    retlw b'01100110'   ; 66
    retlw b'01001011'   ; 4b
    retlw b'01011001'   ; 59
    retlw b'01011001'   ; 59
    retlw b'00101101'   ; 2d
    retlw b'01001100'   ; 4c
    ;-------------------------  448
    retlw b'11001100'   ; cc
    retlw b'01110101'   ; 75
    retlw b'00101011'   ; 2b
    retlw b'00100101'   ; 25
    retlw b'10101100'   ; ac
    retlw b'10101101'   ; ad
    retlw b'00100110'   ; 26
    retlw b'10110010'   ; b2
    ;-------------------------  456
    retlw b'01100110'   ; 66
    retlw b'01011010'   ; 5a
    retlw b'11000101'   ; c5
    retlw b'10100110'   ; a6
    retlw b'01101010'   ; 6a
    retlw b'00110110'   ; 36
    retlw b'10011001'   ; 99
    retlw b'10101000'   ; a8
    ;-------------------------  464
    retlw b'10110110'   ; b6
    retlw b'01100110'   ; 66
    retlw b'10100010'   ; a2
    retlw b'11011001'   ; d9
    retlw b'10010110'   ; 96
    retlw b'10001011'   ; 8b
    retlw b'01001110'   ; 4e
    retlw b'01010110'   ; 56
    ;-------------------------  472
    retlw b'00101101'   ; 2d
    retlw b'00111001'   ; 39
    retlw b'01011000'   ; 58
    retlw b'11100101'   ; e5
    retlw b'10100101'   ; a5
    retlw b'01100011'   ; 63
    retlw b'10010110'   ; 96
    retlw b'10010101'   ; 95
    ;-------------------------  480
    retlw b'10010110'   ; 96
    retlw b'01011001'   ; 59
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    ;-------------------------  488
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01100101'   ; 65
    ;-------------------------  496
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010100'   ; 54
    retlw b'11010110'   ; d6
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    ;-------------------------  504
    retlw b'01011001'   ; 59
    retlw b'01011001'   ; 59
    retlw b'01100101'   ; 65
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01011001'   ; 59
    retlw b'01010101'   ; 55


;---------------------------------------------------
    org (3 *256)          ; block = 3  
;---------------------------------------------------


    ;-------------------------  512
    retlw b'01010101'   ; 55
    retlw b'01100101'   ; 65
    retlw b'01010101'   ; 55
    retlw b'00111001'   ; 39
    retlw b'10010101'   ; 95
    retlw b'01100101'   ; 65
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    ;-------------------------  520
    retlw b'01010101'   ; 55
    retlw b'01010010'   ; 52
    retlw b'11001101'   ; cd
    retlw b'01001101'   ; 4d
    retlw b'01001011'   ; 4b
    retlw b'00110010'   ; 32
    retlw b'10110100'   ; b4
    retlw b'11001010'   ; ca
    ;-------------------------  528
    retlw b'11001010'   ; ca
    retlw b'11010011'   ; d3
    retlw b'00101011'   ; 2b
    retlw b'00011010'   ; 1a
    retlw b'11001001'   ; c9
    retlw b'10101100'   ; ac
    retlw b'10101010'   ; aa
    retlw b'10100110'   ; a6
    ;-------------------------  536
    retlw b'01101010'   ; 6a
    retlw b'10101100'   ; ac
    retlw b'10101001'   ; a9
    retlw b'10101010'   ; aa
    retlw b'10101010'   ; aa
    retlw b'01011010'   ; 5a
    retlw b'10011010'   ; 9a
    retlw b'11010010'   ; d2
    ;-------------------------  544
    retlw b'01011100'   ; 5c
    retlw b'01110010'   ; 72
    retlw b'01101010'   ; 6a
    retlw b'10101010'   ; aa
    retlw b'01101100'   ; 6c
    retlw b'01101011'   ; 6b
    retlw b'00100101'   ; 25
    retlw b'11100001'   ; e1
    ;-------------------------  552
    retlw b'11001100'   ; cc
    retlw b'10101010'   ; aa
    retlw b'10101001'   ; a9
    retlw b'10011010'   ; 9a
    retlw b'01010110'   ; 56
    retlw b'10011010'   ; 9a
    retlw b'01101100'   ; 6c
    retlw b'00110110'   ; 36
    ;-------------------------  560
    retlw b'10011010'   ; 9a
    retlw b'10100101'   ; a5
    retlw b'10100101'   ; a5
    retlw b'10011010'   ; 9a
    retlw b'10100101'   ; a5
    retlw b'10010110'   ; 96
    retlw b'01010110'   ; 56
    retlw b'10010110'   ; 96
    ;-------------------------  568
    retlw b'01010101'   ; 55
    retlw b'01011001'   ; 59
    retlw b'01101010'   ; 6a
    retlw b'00111001'   ; 39
    retlw b'01001110'   ; 4e
    retlw b'10100001'   ; a1
    retlw b'11101000'   ; e8
    retlw b'11100001'   ; e1
    ;-------------------------  576
    retlw b'11100101'   ; e5
    retlw b'01011000'   ; 58
    retlw b'11100100'   ; e4
    retlw b'11010011'   ; d3
    retlw b'10000101'   ; 85
    retlw b'11110000'   ; f0
    retlw b'11001110'   ; ce
    retlw b'00011110'   ; 1e
    ;-------------------------  584
    retlw b'10000110'   ; 86
    retlw b'11100001'   ; e1
    retlw b'11100011'   ; e3
    retlw b'10001110'   ; 8e
    retlw b'10001100'   ; 8c
    retlw b'11110001'   ; f1
    retlw b'10001111'   ; 8f
    retlw b'11000001'   ; c1
    ;-------------------------  592
    retlw b'11100001'   ; e1
    retlw b'11000111'   ; c7
    retlw b'10001101'   ; 8d
    retlw b'00011011'   ; 1b
    retlw b'10000111'   ; 87
    retlw b'00011110'   ; 1e
    retlw b'00001111'   ; 0f
    retlw b'10000011'   ; 83
    ;-------------------------  600
    retlw b'11100010'   ; e2
    retlw b'10111000'   ; b8
    retlw b'01101100'   ; 6c
    retlw b'10011101'   ; 9d
    retlw b'00100111'   ; 27
    retlw b'10000111'   ; 87
    retlw b'00011100'   ; 1c
    retlw b'10001111'   ; 8f
    ;-------------------------  608
    retlw b'00010101'   ; 15
    retlw b'01110000'   ; 70
    retlw b'11111010'   ; fa
    retlw b'00011110'   ; 1e
    retlw b'00100011'   ; 23
    retlw b'11100001'   ; e1
    retlw b'11000110'   ; c6
    retlw b'01110000'   ; 70
    ;-------------------------  616
    retlw b'11110001'   ; f1
    retlw b'10101110'   ; ae
    retlw b'00011101'   ; 1d
    retlw b'00011001'   ; 19
    retlw b'01110001'   ; 71
    retlw b'11000011'   ; c3
    retlw b'11000001'   ; c1
    retlw b'11110000'   ; f0
    ;-------------------------  624
    retlw b'00111110'   ; 3e
    retlw b'00001111'   ; 0f
    retlw b'10000111'   ; 87
    retlw b'10010010'   ; 92
    retlw b'01111001'   ; 79
    retlw b'00011110'   ; 1e
    retlw b'00011011'   ; 1b
    retlw b'01001011'   ; 4b
    ;-------------------------  632
    retlw b'10000111'   ; 87
    retlw b'01000111'   ; 47
    retlw b'10001100'   ; 8c
    retlw b'10110101'   ; b5
    retlw b'01001010'   ; 4a
    retlw b'11010010'   ; d2
    retlw b'01110100'   ; 74
    retlw b'01011100'   ; 5c
    ;-------------------------  640
    retlw b'01101010'   ; 6a
    retlw b'11001100'   ; cc
    retlw b'00111110'   ; 3e
    retlw b'00001111'   ; 0f
    retlw b'00010111'   ; 17
    retlw b'01000001'   ; 41
    retlw b'11110010'   ; f2
    retlw b'00101111'   ; 2f
    ;-------------------------  648
    retlw b'00010101'   ; 15
    retlw b'10000111'   ; 87
    retlw b'11000010'   ; c2
    retlw b'11111000'   ; f8
    retlw b'00111100'   ; 3c
    retlw b'00111011'   ; 3b
    retlw b'00001111'   ; 0f
    retlw b'00001110'   ; 0e
    ;-------------------------  656
    retlw b'01100110'   ; 66
    retlw b'00111010'   ; 3a
    retlw b'00111100'   ; 3c
    retlw b'00011111'   ; 1f
    retlw b'00000111'   ; 07
    retlw b'10100010'   ; a2
    retlw b'10111100'   ; bc
    retlw b'00011110'   ; 1e
    ;-------------------------  664
    retlw b'00011100'   ; 1c
    retlw b'11010101'   ; d5
    retlw b'10001110'   ; 8e
    retlw b'10000111'   ; 87
    retlw b'00011110'   ; 1e
    retlw b'10001100'   ; 8c
    retlw b'00111111'   ; 3f
    retlw b'00000111'   ; 07
    ;-------------------------  672
    retlw b'11000001'   ; c1
    retlw b'11110000'   ; f0
    retlw b'01111100'   ; 7c
    retlw b'00011111'   ; 1f
    retlw b'00001111'   ; 0f
    retlw b'10000011'   ; 83
    retlw b'11010110'   ; d6
    retlw b'00001111'   ; 0f
    ;-------------------------  680
    retlw b'10000011'   ; 83
    retlw b'11000011'   ; c3
    retlw b'10011110'   ; 9e
    retlw b'00001111'   ; 0f
    retlw b'00001111'   ; 0f
    retlw b'01001000'   ; 48
    retlw b'11111000'   ; f8
    retlw b'00011111'   ; 1f
    ;-------------------------  688
    retlw b'00000111'   ; 07
    retlw b'11000011'   ; c3
    retlw b'11000011'   ; c3
    retlw b'11100000'   ; e0
    retlw b'01111100'   ; 7c
    retlw b'00011110'   ; 1e
    retlw b'10100011'   ; a3
    retlw b'11000011'   ; c3
    ;-------------------------  696
    retlw b'11000010'   ; c2
    retlw b'11011100'   ; dc
    retlw b'00011110'   ; 1e
    retlw b'01001101'   ; 4d
    retlw b'01010101'   ; 55
    retlw b'01100011'   ; 63
    retlw b'01010110'   ; 56
    retlw b'01001011'   ; 4b
    ;-------------------------  704
    retlw b'10100101'   ; a5
    retlw b'01001101'   ; 4d
    retlw b'01100011'   ; 63
    retlw b'00110110'   ; 36
    retlw b'00100111'   ; 27
    retlw b'11100000'   ; e0
    retlw b'10111010'   ; ba
    retlw b'00111010'   ; 3a
    ;-------------------------  712
    retlw b'00011111'   ; 1f
    retlw b'00000111'   ; 07
    retlw b'11000000'   ; c0
    retlw b'11111000'   ; f8
    retlw b'00111110'   ; 3e
    retlw b'00101011'   ; 2b
    retlw b'00100111'   ; 27
    retlw b'10010001'   ; 91
    ;-------------------------  720
    retlw b'11011000'   ; d8
    retlw b'01101010'   ; 6a
    retlw b'10110100'   ; b4
    retlw b'01101010'   ; 6a
    retlw b'10101001'   ; a9
    retlw b'11010001'   ; d1
    retlw b'01101010'   ; 6a
    retlw b'10101010'   ; aa
    ;-------------------------  728
    retlw b'10100110'   ; a6
    retlw b'11010010'   ; d2
    retlw b'10110100'   ; b4
    retlw b'10101010'   ; aa
    retlw b'11010010'   ; d2
    retlw b'10101101'   ; ad
    retlw b'00110010'   ; 32
    retlw b'10101011'   ; ab
    ;-------------------------  736
    retlw b'00101010'   ; 2a
    retlw b'11010100'   ; d4
    retlw b'00111101'   ; 3d
    retlw b'01000101'   ; 45
    retlw b'11010010'   ; d2
    retlw b'11010010'   ; d2
    retlw b'10101100'   ; ac
    retlw b'01011101'   ; 5d
    ;-------------------------  744
    retlw b'00101011'   ; 2b
    retlw b'01010010'   ; 52
    retlw b'10101101'   ; ad
    retlw b'00101101'   ; 2d
    retlw b'00100100'   ; 24
    retlw b'10111100'   ; bc
    retlw b'00011111'   ; 1f
    retlw b'00101010'   ; 2a
    ;-------------------------  752
    retlw b'10110101'   ; b5
    retlw b'01010100'   ; 54
    retlw b'10110100'   ; b4
    retlw b'10011010'   ; 9a
    retlw b'10010101'   ; 95
    retlw b'01010110'   ; 56
    retlw b'10100101'   ; a5
    retlw b'11010100'   ; d4
    ;-------------------------  760
    retlw b'10110101'   ; b5
    retlw b'01001010'   ; 4a
    retlw b'10101010'   ; aa
    retlw b'10100101'   ; a5
    retlw b'01011010'   ; 5a
    retlw b'01010110'   ; 56
    retlw b'10101010'   ; aa
    retlw b'01101010'   ; 6a


;---------------------------------------------------
    org (4 *256)          ; block = 4  
;---------------------------------------------------


    ;-------------------------  768
    retlw b'10101010'   ; aa
    retlw b'10101010'   ; aa
    retlw b'10110000'   ; b0
    retlw b'00011111'   ; 1f
    retlw b'10000011'   ; 83
    retlw b'11110000'   ; f0
    retlw b'01011111'   ; 5f
    retlw b'10000010'   ; 82
    ;-------------------------  776
    retlw b'11011010'   ; da
    retlw b'01001000'   ; 48
    retlw b'11111000'   ; f8
    retlw b'00101111'   ; 2f
    retlw b'01010010'   ; 52
    retlw b'10111010'   ; ba
    retlw b'10010101'   ; 95
    retlw b'01011001'   ; 59
    ;-------------------------  784
    retlw b'00010110'   ; 16
    retlw b'10101010'   ; aa
    retlw b'01010110'   ; 56
    retlw b'11001010'   ; ca
    retlw b'10110101'   ; b5
    retlw b'01010100'   ; 54
    retlw b'10110100'   ; b4
    retlw b'10101010'   ; aa
    ;-------------------------  792
    retlw b'10110010'   ; b2
    retlw b'01101010'   ; 6a
    retlw b'10110010'   ; b2
    retlw b'10101010'   ; aa
    retlw b'10110010'   ; b2
    retlw b'11010100'   ; d4
    retlw b'00101001'   ; 29
    retlw b'11111000'   ; f8
    ;-------------------------  800
    retlw b'00011111'   ; 1f
    retlw b'10000101'   ; 85
    retlw b'10111010'   ; ba
    retlw b'00010110'   ; 16
    retlw b'11010010'   ; d2
    retlw b'00101111'   ; 2f
    retlw b'00100010'   ; 22
    retlw b'11101010'   ; ea
    ;-------------------------  808
    retlw b'10101010'   ; aa
    retlw b'10101101'   ; ad
    retlw b'00010110'   ; 16
    retlw b'10100101'   ; a5
    retlw b'01011010'   ; 5a
    retlw b'01011010'   ; 5a
    retlw b'00110111'   ; 37
    retlw b'10000011'   ; 83
    ;-------------------------  816
    retlw b'11010100'   ; d4
    retlw b'00101101'   ; 2d
    retlw b'10010010'   ; 92
    retlw b'10101101'   ; ad
    retlw b'01010100'   ; 54
    retlw b'11101101'   ; ed
    retlw b'00100110'   ; 26
    retlw b'11010010'   ; d2
    ;-------------------------  824
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'00001111'   ; 0f
    retlw b'11100000'   ; e0
    retlw b'01111100'   ; 7c
    retlw b'01010101'   ; 55
    retlw b'11010001'   ; d1
    ;-------------------------  832
    retlw b'01010110'   ; 56
    retlw b'10100100'   ; a4
    retlw b'10110110'   ; b6
    retlw b'10010101'   ; 95
    retlw b'10110101'   ; b5
    retlw b'01001011'   ; 4b
    retlw b'00001011'   ; 0b
    retlw b'10100000'   ; a0
    ;-------------------------  840
    retlw b'11110100'   ; f4
    retlw b'10101011'   ; ab
    retlw b'01101010'   ; 6a
    retlw b'00010111'   ; 17
    retlw b'11100000'   ; e0
    retlw b'01111110'   ; 7e
    retlw b'00001010'   ; 0a
    retlw b'11011000'   ; d8
    ;-------------------------  848
    retlw b'10010110'   ; 96
    retlw b'11011010'   ; da
    retlw b'00101111'   ; 2f
    retlw b'01001001'   ; 49
    retlw b'01010101'   ; 55
    retlw b'01001001'   ; 49
    retlw b'10110101'   ; b5
    retlw b'01001011'   ; 4b
    ;-------------------------  856
    retlw b'01000101'   ; 45
    retlw b'11110000'   ; f0
    retlw b'00111111'   ; 3f
    retlw b'00000111'   ; 07
    retlw b'01101000'   ; 68
    retlw b'10101010'   ; aa
    retlw b'10110101'   ; b5
    retlw b'00101111'   ; 2f
    ;-------------------------  864
    retlw b'01001010'   ; 4a
    retlw b'11010010'   ; d2
    retlw b'00101011'   ; 2b
    retlw b'00001011'   ; 0b
    retlw b'01010101'   ; 55
    retlw b'10101011'   ; ab
    retlw b'01010101'   ; 55
    retlw b'01001111'   ; 4f
    ;-------------------------  872
    retlw b'00000000'   ; 00
    retlw b'01111111'   ; 7f
    retlw b'00000111'   ; 07
    retlw b'11100001'   ; e1
    retlw b'00101111'   ; 2f
    retlw b'00010100'   ; 14
    retlw b'01011111'   ; 5f
    retlw b'10000001'   ; 81
    ;-------------------------  880
    retlw b'11111000'   ; f8
    retlw b'01001001'   ; 49
    retlw b'01111000'   ; 78
    retlw b'00110111'   ; 37
    retlw b'01110000'   ; 70
    retlw b'01101010'   ; 6a
    retlw b'10110100'   ; b4
    retlw b'00010111'   ; 17
    ;-------------------------  888
    retlw b'11100000'   ; e0
    retlw b'11111010'   ; fa
    retlw b'01001100'   ; 4c
    retlw b'10110101'   ; b5
    retlw b'00101010'   ; 2a
    retlw b'11101001'   ; e9
    retlw b'01010100'   ; 54
    retlw b'01110101'   ; 75
    ;-------------------------  896
    retlw b'00001111'   ; 0f
    retlw b'10010010'   ; 92
    retlw b'10110110'   ; b6
    retlw b'10010101'   ; 95
    retlw b'01010110'   ; 56
    retlw b'10000000'   ; 80
    retlw b'00111111'   ; 3f
    retlw b'11000001'   ; c1
    ;-------------------------  904
    retlw b'11111010'   ; fa
    retlw b'00010011'   ; 13
    retlw b'00101110'   ; 2e
    retlw b'00000011'   ; 03
    retlw b'11111000'   ; f8
    retlw b'00111110'   ; 3e
    retlw b'10100000'   ; a0
    retlw b'01011111'   ; 5f
    ;-------------------------  912
    retlw b'10000001'   ; 81
    retlw b'11111100'   ; fc
    retlw b'00010101'   ; 15
    retlw b'01011010'   ; 5a
    retlw b'01000011'   ; 43
    retlw b'11111000'   ; f8
    retlw b'00111101'   ; 3d
    retlw b'01010100'   ; 54
    ;-------------------------  920
    retlw b'01010110'   ; 56
    retlw b'11000100'   ; c4
    retlw b'11011100'   ; dc
    retlw b'10101001'   ; a9
    retlw b'01010110'   ; 56
    retlw b'10100001'   ; a1
    retlw b'11110100'   ; f4
    retlw b'01010110'   ; 56
    ;-------------------------  928
    retlw b'10110100'   ; b4
    retlw b'00111100'   ; 3c
    retlw b'00000001'   ; 01
    retlw b'11111110'   ; fe
    retlw b'00011111'   ; 1f
    retlw b'10010100'   ; 94
    retlw b'00001111'   ; 0f
    retlw b'01001000'   ; 48
    ;-------------------------  936
    retlw b'00111111'   ; 3f
    retlw b'10010101'   ; 95
    retlw b'00101101'   ; 2d
    retlw b'10100001'   ; a1
    retlw b'01010100'   ; 54
    retlw b'11110000'   ; f0
    retlw b'01011111'   ; 5f
    retlw b'10000010'   ; 82
    ;-------------------------  944
    retlw b'11101010'   ; ea
    retlw b'00101111'   ; 2f
    retlw b'00101101'   ; 2d
    retlw b'00010111'   ; 17
    retlw b'10010001'   ; 91
    retlw b'01101010'   ; 6a
    retlw b'11010010'   ; d2
    retlw b'10101101'   ; ad
    ;-------------------------  952
    retlw b'01001010'   ; 4a
    retlw b'01101010'   ; 6a
    retlw b'10101001'   ; a9
    retlw b'01010111'   ; 57
    retlw b'01001010'   ; 4a
    retlw b'10110100'   ; b4
    retlw b'00000011'   ; 03
    retlw b'11111100'   ; fc
    ;-------------------------  960
    retlw b'00011111'   ; 1f
    retlw b'00111000'   ; 38
    retlw b'00001101'   ; 0d
    retlw b'10111000'   ; b8
    retlw b'00101111'   ; 2f
    retlw b'11010100'   ; d4
    retlw b'00010111'   ; 17
    retlw b'11000010'   ; c2
    ;-------------------------  968
    retlw b'01101011'   ; 6b
    retlw b'10101000'   ; a8
    retlw b'00011111'   ; 1f
    retlw b'10000011'   ; 83
    retlw b'01100101'   ; 65
    retlw b'11110000'   ; f0
    retlw b'01011011'   ; 5b
    retlw b'10000100'   ; 84
    ;-------------------------  976
    retlw b'10110101'   ; b5
    retlw b'01011010'   ; 5a
    retlw b'10101101'   ; ad
    retlw b'01010100'   ; 54
    retlw b'10100101'   ; a5
    retlw b'01110100'   ; 74
    retlw b'10010110'   ; 96
    retlw b'11010100'   ; d4
    ;-------------------------  984
    retlw b'10100100'   ; a4
    retlw b'01011000'   ; 58
    retlw b'01111111'   ; 7f
    retlw b'00011110'   ; 1e
    retlw b'00011110'   ; 1e
    retlw b'10000010'   ; 82
    retlw b'10111010'   ; ba
    retlw b'10101010'   ; aa
    ;-------------------------  992
    retlw b'10101110'   ; ae
    retlw b'10100010'   ; a2
    retlw b'01101101'   ; 6d
    retlw b'01001010'   ; 4a
    retlw b'10110110'   ; b6
    retlw b'01101010'   ; 6a
    retlw b'10000010'   ; 82
    retlw b'10110110'   ; b6
    ;-------------------------  1000
    retlw b'00101111'   ; 2f
    retlw b'01010101'   ; 55
    retlw b'01001101'   ; 4d
    retlw b'00101000'   ; 28
    retlw b'10011101'   ; 9d
    retlw b'10010110'   ; 96
    retlw b'10101101'   ; ad
    retlw b'01010011'   ; 53
    ;-------------------------  1008
    retlw b'01010001'   ; 51
    retlw b'01011010'   ; 5a
    retlw b'10010111'   ; 97
    retlw b'01000000'   ; 40
    retlw b'01011111'   ; 5f
    retlw b'11100001'   ; e1
    retlw b'10001011'   ; 8b
    retlw b'11110000'   ; f0
    ;-------------------------  1016
    retlw b'01010010'   ; 52
    retlw b'10111100'   ; bc
    retlw b'10010011'   ; 93
    retlw b'01010111'   ; 57
    retlw b'11000001'   ; c1
    retlw b'01011010'   ; 5a
    retlw b'10101100'   ; ac
    retlw b'10001011'   ; 8b


;---------------------------------------------------
    org (5 *256)          ; block = 5  
;---------------------------------------------------


    ;-------------------------  1024
    retlw b'10110011'   ; b3
    retlw b'01001010'   ; 4a
    retlw b'11001000'   ; c8
    retlw b'10101101'   ; ad
    retlw b'00010111'   ; 17
    retlw b'01010111'   ; 57
    retlw b'00100101'   ; 25
    retlw b'01010101'   ; 55
    ;-------------------------  1032
    retlw b'10001001'   ; 89
    retlw b'10110110'   ; b6
    retlw b'10010101'   ; 95
    retlw b'10110100'   ; b4
    retlw b'10101010'   ; aa
    retlw b'10101100'   ; ac
    retlw b'00001011'   ; 0b
    retlw b'11110000'   ; f0
    ;-------------------------  1040
    retlw b'01110101'   ; 75
    retlw b'00111100'   ; 3c
    retlw b'10010101'   ; 95
    retlw b'01101000'   ; 68
    retlw b'10110110'   ; b6
    retlw b'01001101'   ; 4d
    retlw b'01011010'   ; 5a
    retlw b'10101100'   ; ac
    ;-------------------------  1048
    retlw b'10110101'   ; b5
    retlw b'00101011'   ; 2b
    retlw b'01010010'   ; 52
    retlw b'10101101'   ; ad
    retlw b'01010101'   ; 55
    retlw b'00100101'   ; 25
    retlw b'01000101'   ; 45
    retlw b'11001011'   ; cb
    ;-------------------------  1056
    retlw b'01010011'   ; 53
    retlw b'01101001'   ; 69
    retlw b'00101010'   ; 2a
    retlw b'11010101'   ; d5
    retlw b'01010011'   ; 53
    retlw b'01101010'   ; 6a
    retlw b'10010101'   ; 95
    retlw b'01100010'   ; 62
    ;-------------------------  1064
    retlw b'10110110'   ; b6
    retlw b'01010101'   ; 55
    retlw b'10010101'   ; 95
    retlw b'00100101'   ; 25
    retlw b'11010001'   ; d1
    retlw b'01110101'   ; 75
    retlw b'01011010'   ; 5a
    retlw b'00110101'   ; 35
    ;-------------------------  1072
    retlw b'00010101'   ; 15
    retlw b'01101010'   ; 6a
    retlw b'10110101'   ; b5
    retlw b'01011010'   ; 5a
    retlw b'11010101'   ; d5
    retlw b'00100101'   ; 25
    retlw b'01101010'   ; 6a
    retlw b'01011110'   ; 5e
    ;-------------------------  1080
    retlw b'00000010'   ; 02
    retlw b'01111010'   ; 7a
    retlw b'00101101'   ; 2d
    retlw b'00011111'   ; 1f
    retlw b'01001011'   ; 4b
    retlw b'01001001'   ; 49
    retlw b'10110010'   ; b2
    retlw b'10101000'   ; a8
    ;-------------------------  1088
    retlw b'00101111'   ; 2f
    retlw b'11010001'   ; d1
    retlw b'11010010'   ; d2
    retlw b'01101010'   ; 6a
    retlw b'00111101'   ; 3d
    retlw b'10101010'   ; aa
    retlw b'00101101'   ; 2d
    retlw b'00001010'   ; 0a
    ;-------------------------  1096
    retlw b'11110000'   ; f0
    retlw b'01011010'   ; 5a
    retlw b'10111110'   ; be
    retlw b'00101010'   ; 2a
    retlw b'00110100'   ; 34
    retlw b'00101110'   ; 2e
    retlw b'11101100'   ; ec
    retlw b'10010110'   ; 96
    ;-------------------------  1104
    retlw b'11010110'   ; d6
    retlw b'01010101'   ; 55
    retlw b'01101000'   ; 68
    retlw b'00110111'   ; 37
    retlw b'10000010'   ; 82
    retlw b'11010001'   ; d1
    retlw b'01111001'   ; 79
    retlw b'00101000'   ; 28
    ;-------------------------  1112
    retlw b'00000011'   ; 03
    retlw b'11101111'   ; ef
    retlw b'11100011'   ; e3
    retlw b'11000000'   ; c0
    retlw b'11101001'   ; e9
    retlw b'11111000'   ; f8
    retlw b'00111001'   ; 39
    retlw b'00101010'   ; 2a
    ;-------------------------  1120
    retlw b'10111110'   ; be
    retlw b'10001010'   ; 8a
    retlw b'00000111'   ; 07
    retlw b'10000111'   ; 87
    retlw b'11000011'   ; c3
    retlw b'10100011'   ; a3
    retlw b'11010010'   ; d2
    retlw b'11010010'   ; d2
    ;-------------------------  1128
    retlw b'10101001'   ; a9
    retlw b'10110101'   ; b5
    retlw b'10110100'   ; b4
    retlw b'10100101'   ; a5
    retlw b'01011001'   ; 59
    retlw b'01011010'   ; 5a
    retlw b'10101010'   ; aa
    retlw b'01010000'   ; 50
    ;-------------------------  1136
    retlw b'00001110'   ; 0e
    retlw b'11111111'   ; ff
    retlw b'01011100'   ; 5c
    retlw b'00000100'   ; 04
    retlw b'00101111'   ; 2f
    retlw b'01101101'   ; 6d
    retlw b'01110000'   ; 70
    retlw b'10110010'   ; b2
    ;-------------------------  1144
    retlw b'10111010'   ; ba
    retlw b'10110100'   ; b4
    retlw b'01001010'   ; 4a
    retlw b'01011010'   ; 5a
    retlw b'10111101'   ; bd
    retlw b'00100010'   ; 22
    retlw b'01011010'   ; 5a
    retlw b'01010101'   ; 55
    ;-------------------------  1152
    retlw b'01011101'   ; 5d
    retlw b'10101010'   ; aa
    retlw b'10101001'   ; a9
    retlw b'01010101'   ; 55
    retlw b'01010110'   ; 56
    retlw b'10011010'   ; 9a
    retlw b'10101000'   ; a8
    retlw b'00001011'   ; 0b
    ;-------------------------  1160
    retlw b'01001111'   ; 4f
    retlw b'11011111'   ; df
    retlw b'10000000'   ; 80
    retlw b'10000001'   ; 81
    retlw b'11110011'   ; f3
    retlw b'11111000'   ; f8
    retlw b'01101000'   ; 68
    retlw b'00111010'   ; 3a
    ;-------------------------  1168
    retlw b'01111100'   ; 7c
    retlw b'00111010'   ; 3a
    retlw b'00001101'   ; 0d
    retlw b'00111110'   ; 3e
    retlw b'10101101'   ; ad
    retlw b'01000101'   ; 45
    retlw b'00010101'   ; 15
    retlw b'10101101'   ; ad
    ;-------------------------  1176
    retlw b'01100101'   ; 65
    retlw b'11010001'   ; d1
    retlw b'01010100'   ; 54
    retlw b'11010110'   ; d6
    retlw b'11010010'   ; d2
    retlw b'11000100'   ; c4
    retlw b'00001011'   ; 0b
    retlw b'01001111'   ; 4f
    ;-------------------------  1184
    retlw b'11011111'   ; df
    retlw b'00000010'   ; 02
    retlw b'01000011'   ; 43
    retlw b'11000111'   ; c7
    retlw b'11110011'   ; f3
    retlw b'10100000'   ; a0
    retlw b'11100001'   ; e1
    retlw b'11100001'   ; e1
    ;-------------------------  1192
    retlw b'11100001'   ; e1
    retlw b'01110000'   ; 70
    retlw b'10111010'   ; ba
    retlw b'01111101'   ; 7d
    retlw b'00101010'   ; 2a
    retlw b'00010110'   ; 16
    retlw b'00101110'   ; 2e
    retlw b'10101111'   ; af
    ;-------------------------  1200
    retlw b'01001101'   ; 4d
    retlw b'00101010'   ; 2a
    retlw b'10010110'   ; 96
    retlw b'10110101'   ; b5
    retlw b'10100100'   ; a4
    retlw b'00000010'   ; 02
    retlw b'01011111'   ; 5f
    retlw b'01011111'   ; 5f
    ;-------------------------  1208
    retlw b'00010100'   ; 14
    retlw b'00010010'   ; 12
    retlw b'10111011'   ; bb
    retlw b'01111010'   ; 7a
    retlw b'10010100'   ; 94
    retlw b'00101011'   ; 2b
    retlw b'01011011'   ; 5b
    retlw b'01010101'   ; 55
    ;-------------------------  1216
    retlw b'00010011'   ; 13
    retlw b'00100111'   ; 27
    retlw b'10110101'   ; b5
    retlw b'01010100'   ; 54
    retlw b'10100001'   ; a1
    retlw b'01100101'   ; 65
    retlw b'11110010'   ; f2
    retlw b'11101010'   ; ea
    ;-------------------------  1224
    retlw b'10010101'   ; 95
    retlw b'01010110'   ; 56
    retlw b'10101011'   ; ab
    retlw b'00111000'   ; 38
    retlw b'01010000'   ; 50
    retlw b'10110000'   ; b0
    retlw b'10111001'   ; b9
    retlw b'01111100'   ; 7c
    ;-------------------------  1232
    retlw b'00111100'   ; 3c
    retlw b'00011110'   ; 1e
    retlw b'00011111'   ; 1f
    retlw b'00001111'   ; 0f
    retlw b'00000111'   ; 07
    retlw b'10001101'   ; 8d
    retlw b'11000101'   ; c5
    retlw b'11000010'   ; c2
    ;-------------------------  1240
    retlw b'10100101'   ; a5
    retlw b'11101001'   ; e9
    retlw b'11010100'   ; d4
    retlw b'11001001'   ; c9
    retlw b'00110101'   ; 35
    retlw b'01011010'   ; 5a
    retlw b'10110110'   ; b6
    retlw b'01010101'   ; 55
    ;-------------------------  1248
    retlw b'01010101'   ; 55
    retlw b'10101010'   ; aa
    retlw b'10101010'   ; aa
    retlw b'10001001'   ; 89
    retlw b'00010010'   ; 12
    retlw b'10100101'   ; a5
    retlw b'11101011'   ; eb
    retlw b'11001011'   ; cb
    ;-------------------------  1256
    retlw b'01001000'   ; 48
    retlw b'10101001'   ; a9
    retlw b'01101001'   ; 69
    retlw b'11010101'   ; d5
    retlw b'01010100'   ; 54
    retlw b'01011010'   ; 5a
    retlw b'01010110'   ; 56
    retlw b'11001011'   ; cb
    ;-------------------------  1264
    retlw b'00101010'   ; 2a
    retlw b'10010101'   ; 95
    retlw b'01001110'   ; 4e
    retlw b'10011010'   ; 9a
    retlw b'10101100'   ; ac
    retlw b'10110110'   ; b6
    retlw b'10101010'   ; aa
    retlw b'11010100'   ; d4
    ;-------------------------  1272
    retlw b'11010101'   ; d5
    retlw b'10100101'   ; a5
    retlw b'10100000'   ; a0
    retlw b'00010000'   ; 10
    retlw b'10111100'   ; bc
    retlw b'11111101'   ; fd
    retlw b'11101000'   ; e8
    retlw b'00100100'   ; 24


;---------------------------------------------------
    org (6 *256)          ; block = 6  
;---------------------------------------------------


    ;-------------------------  1280
    retlw b'00111000'   ; 38
    retlw b'10111101'   ; bd
    retlw b'01011010'   ; 5a
    retlw b'01001101'   ; 4d
    retlw b'00101110'   ; 2e
    retlw b'10101011'   ; ab
    retlw b'01010010'   ; 52
    retlw b'10001011'   ; 8b
    ;-------------------------  1288
    retlw b'00101001'   ; 29
    retlw b'10110101'   ; b5
    retlw b'01100101'   ; 65
    retlw b'10100011'   ; a3
    retlw b'10101010'   ; aa
    retlw b'11010101'   ; d5
    retlw b'01101010'   ; 6a
    retlw b'01101010'   ; 6a
    ;-------------------------  1296
    retlw b'10101010'   ; aa
    retlw b'01001010'   ; 4a
    retlw b'00011101'   ; 1d
    retlw b'00011110'   ; 1e
    retlw b'01011110'   ; 5e
    retlw b'01010010'   ; 52
    retlw b'10000110'   ; 86
    retlw b'00011110'   ; 1e
    ;-------------------------  1304
    retlw b'00111101'   ; 3d
    retlw b'01001010'   ; 4a
    retlw b'10001011'   ; 8b
    retlw b'10011011'   ; 9b
    retlw b'10100110'   ; a6
    retlw b'11000010'   ; c2
    retlw b'01010010'   ; 52
    retlw b'10101101'   ; ad
    ;-------------------------  1312
    retlw b'10110101'   ; b5
    retlw b'00111000'   ; 38
    retlw b'10101100'   ; ac
    retlw b'10110101'   ; b5
    retlw b'01011101'   ; 5d
    retlw b'00101101'   ; 2d
    retlw b'00101101'   ; 2d
    retlw b'00101000'   ; 28
    ;-------------------------  1320
    retlw b'11010000'   ; d0
    retlw b'11110001'   ; f1
    retlw b'11110000'   ; f0
    retlw b'11100001'   ; e1
    retlw b'10110000'   ; b0
    retlw b'01111000'   ; 78
    retlw b'01111000'   ; 78
    retlw b'01111000'   ; 78
    ;-------------------------  1328
    retlw b'01110100'   ; 74
    retlw b'01111100'   ; 7c
    retlw b'01110110'   ; 76
    retlw b'01010100'   ; 54
    retlw b'10001011'   ; 8b
    retlw b'00100111'   ; 27
    retlw b'00101011'   ; 2b
    retlw b'01100011'   ; 63
    ;-------------------------  1336
    retlw b'01010010'   ; 52
    retlw b'11010101'   ; d5
    retlw b'11010011'   ; d3
    retlw b'01100101'   ; 65
    retlw b'01101010'   ; 6a
    retlw b'10110101'   ; b5
    retlw b'01101010'   ; 6a
    retlw b'00010110'   ; 16
    ;-------------------------  1344
    retlw b'00001111'   ; 0f
    retlw b'00001111'   ; 0f
    retlw b'00001111'   ; 0f
    retlw b'00001111'   ; 0f
    retlw b'00000111'   ; 07
    retlw b'10000111'   ; 87
    retlw b'10000111'   ; 87
    retlw b'00010110'   ; 16
    ;-------------------------  1352
    retlw b'10100111'   ; a7
    retlw b'10001111'   ; 8f
    retlw b'01001101'   ; 4d
    retlw b'00101000'   ; 28
    retlw b'10110100'   ; b4
    retlw b'10110110'   ; b6
    retlw b'01011010'   ; 5a
    retlw b'00101100'   ; 2c
    ;-------------------------  1360
    retlw b'01011010'   ; 5a
    retlw b'01011011'   ; 5b
    retlw b'01011011'   ; 5b
    retlw b'00101101'   ; 2d
    retlw b'01010101'   ; 55
    retlw b'10100110'   ; a6
    retlw b'11010101'   ; d5
    retlw b'01010011'   ; 53
    ;-------------------------  1368
    retlw b'00000001'   ; 01
    retlw b'10000001'   ; 81
    retlw b'11100011'   ; e3
    retlw b'11100111'   ; e7
    retlw b'11000011'   ; c3
    retlw b'10000001'   ; 81
    retlw b'11100011'   ; e3
    retlw b'11100001'   ; e1
    ;-------------------------  1376
    retlw b'11000001'   ; c1
    retlw b'10100101'   ; a5
    retlw b'11011010'   ; da
    retlw b'11101001'   ; e9
    retlw b'10001010'   ; 8a
    retlw b'10010111'   ; 97
    retlw b'00011101'   ; 1d
    retlw b'01001011'   ; 4b
    ;-------------------------  1384
    retlw b'00001011'   ; 0b
    retlw b'01001111'   ; 4f
    retlw b'01010111'   ; 57
    retlw b'01010010'   ; 52
    retlw b'11001010'   ; ca
    retlw b'10101010'   ; aa
    retlw b'10101010'   ; aa
    retlw b'00101000'   ; 28
    ;-------------------------  1392
    retlw b'10101010'   ; aa
    retlw b'01111010'   ; 7a
    retlw b'01110101'   ; 75
    retlw b'00101010'   ; 2a
    retlw b'01011010'   ; 5a
    retlw b'10101101'   ; ad
    retlw b'01001101'   ; 4d
    retlw b'00000011'   ; 03
    ;-------------------------  1400
    retlw b'10000111'   ; 87
    retlw b'11001111'   ; cf
    retlw b'11000111'   ; c7
    retlw b'00000101'   ; 05
    retlw b'10010011'   ; 93
    retlw b'11000101'   ; c5
    retlw b'10000110'   ; 86
    retlw b'10001101'   ; 8d
    ;-------------------------  1408
    retlw b'10111011'   ; bb
    retlw b'01011010'   ; 5a
    retlw b'10010100'   ; 94
    retlw b'10011011'   ; 9b
    retlw b'01011101'   ; 5d
    retlw b'00101100'   ; 2c
    retlw b'10010010'   ; 92
    retlw b'10100101'   ; a5
    ;-------------------------  1416
    retlw b'10100011'   ; a3
    retlw b'01000101'   ; 45
    retlw b'01011001'   ; 59
    retlw b'11011000'   ; d8
    retlw b'11110000'   ; f0
    retlw b'11010101'   ; d5
    retlw b'01011001'   ; 59
    retlw b'01101000'   ; 68
    ;-------------------------  1424
    retlw b'10101001'   ; a9
    retlw b'01011010'   ; 5a
    retlw b'11010110'   ; d6
    retlw b'10101010'   ; aa
    retlw b'01010101'   ; 55
    retlw b'01010101'   ; 55
    retlw b'10100010'   ; a2
    retlw b'10100011'   ; a3
    ;-------------------------  1432
    retlw b'01010110'   ; 56
    retlw b'11010110'   ; d6
    retlw b'11010010'   ; d2
    retlw b'11001010'   ; ca
    retlw b'11010011'   ; d3
    retlw b'10011011'   ; 9b
    retlw b'00101010'   ; 2a
    retlw b'00101010'   ; 2a
    ;-------------------------  1440
    retlw b'00011100'   ; 1c
    retlw b'00111100'   ; 3c
    retlw b'01011110'   ; 5e
    retlw b'01100000'   ; 60
    retlw b'11010000'   ; d0
    retlw b'11110011'   ; f3
    retlw b'11110001'   ; f1
    retlw b'11000000'   ; c0
    ;-------------------------  1448
    retlw b'10101000'   ; a8
    retlw b'11111000'   ; f8
    retlw b'11110000'   ; f0
    retlw b'11010010'   ; d2
    retlw b'11101011'   ; eb
    retlw b'11001010'   ; ca
    retlw b'10010000'   ; 90
    retlw b'10010101'   ; 95
    ;-------------------------  1456
    retlw b'10101011'   ; ab
    retlw b'01101011'   ; 6b
    retlw b'00101101'   ; 2d
    retlw b'10101110'   ; ae
    retlw b'01010010'   ; 52
    retlw b'10001000'   ; 88
    retlw b'10101001'   ; a9
    retlw b'01101001'   ; 69
    ;-------------------------  1464
    retlw b'10101010'   ; aa
    retlw b'11011010'   ; da
    retlw b'10110100'   ; b4
    retlw b'10101010'   ; aa
    retlw b'01010110'   ; 56
    retlw b'10101101'   ; ad
    retlw b'00101010'   ; 2a
    retlw b'00001110'   ; 0e
    ;-------------------------  1472
    retlw b'00101110'   ; 2e
    retlw b'10111010'   ; ba
    retlw b'01010101'   ; 55
    retlw b'00101101'   ; 2d
    retlw b'10011011'   ; 9b
    retlw b'00010100'   ; 14
    retlw b'01010100'   ; 54
    retlw b'10110110'   ; b6
    ;-------------------------  1480
    retlw b'11011010'   ; da
    retlw b'10110101'   ; b5
"İnsanlara akılları ölçüsünde söz söyleyiniz."  hayat 1 ve 0 dan ibaret değildir insanlar hayatı 1 ve 0 a indirgeyerek anlamaya ve çözümlemeye calışır hayat sonsuz sayıda rakam ve sonsuz ilimden meydana gelir   http://www.robo-cards.blogspot

strom

Valla kardeş beceremedim.
Öncelikle ASM kodunu proton'a atarken b'xxxxxxxx' yazan yeri
%xxxxxxxx olarak değiştirmek gerekiyor (ki bu da verdiğin kod için çok fazla br değişiklik oluyor.Bunun için aslında programı değiştirmek lazım.)
Daha sonra şöle bir yol izledim.
DEVICE 16F628
'Hoparlör PORTB.0 bitine bağli

DIM W AS BYTE	'Çevrim Tablosu için Sayaç
DIM Sayac AS BYTE	'W registeri için sayaç
DIM Muzik AS BYTE	'W registeri için sayaç
W = 0 	 		
Sayac = 0
CEVIR:
	INCF Sayac , 1 'Sayac'in içeriğini 1 arttir
	IF Sayac = 112 THEN 		 '112 Çevrim sonunda programi bitir
	GOTO BITIR
	ENDIF
	MOVF Sayac ,0  				 'A Değerini w registerine ata
	CALL TABLO				 'Çevrim tablosunu çağir
	MOVWF Muzik
	SEROUT PORTb.0 , 19600 , [ Muzik]	 'Buralari salladim.
	PAUSE 5		   	 	  	  		 'Gerçekle alakasi yoktur.
	GOTO CEVIR

	
TABLO:
	ADDWF PCL , 1					 'PCL içeriğini 1 arttir
     ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
         ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
         ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
         ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
         ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
         ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
         ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
         ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	      ;-------------------------  0 
     retlw %10101010     ; aa 
     retlw %10101010   ; aa 
     retlw %10100101  ; a5 
     retlw %10100101  ; a5 
     retlw %10011001  ; 99 
     retlw %10010110  ; 96 
     retlw %10100101  ; a5 
     retlw %01100101   ; 64 
	 
	BITIR:
		  END


Tabiki saçma sapan birşey.Ama az da olsa etkili oldu.
Beni asıl zorlayan w registerinden aldığım 8 bitlik veriyi PORTB.0 bacağına nasıl göndermem gerektiğiydi.
SOUND komutunu denedim ama bu komutta değişken kabul etmiyor.Bende son çare olarak serout komutunu kullandım :D
Kusura bakma tam anlamıyla bir çözüm bulamadım.Ama inşallah bir yardım eden çıkar.Bende heyecanla bekliyorum açıkçası.

(Bu arada verdiğin ASM komutlarının 8 tanesini değişitirip geri kalanları Kopyala-Yapıştır yaptım.)

dentaytr

kardeş çok külfetliymiş  sağolasın ben tüm bleri % yapsam sorun çözülürmü?
verdiğin kodu hemen denicem. tekrar teşekkür ederim sorunun çoğunu çözmüşsün
"İnsanlara akılları ölçüsünde söz söyleyiniz."  hayat 1 ve 0 dan ibaret değildir insanlar hayatı 1 ve 0 a indirgeyerek anlamaya ve çözümlemeye calışır hayat sonsuz sayıda rakam ve sonsuz ilimden meydana gelir   http://www.robo-cards.blogspot

strom

Kardeş yanlış anladın.Devre bu hali ile çalışmı yor.Çünkü gelen veriyi tam olarak porttan gönderemiyorum.
Bu arada tüm b'leri silmedim.Sadece 8'ini sildim.
Ama şimdi silmek için uğraşıyom.256. B'yi de sildim.Bugün çoğunu silerim.

dentaytr

evet çalışmıyo:) ben değiştirebilirim b leri istersen
bu komutlar port b yi high low arası değiştiriyo ama seroutla olması lazımdı
"İnsanlara akılları ölçüsünde söz söyleyiniz."  hayat 1 ve 0 dan ibaret değildir insanlar hayatı 1 ve 0 a indirgeyerek anlamaya ve çözümlemeye calışır hayat sonsuz sayıda rakam ve sonsuz ilimden meydana gelir   http://www.robo-cards.blogspot

strom

Kardeş kodda bir mantık hatası yapmışım.Bir de bu kodu dene.Daha iyi çalışıyor.Ama hala istediğimiz gibi değil
DEVICE 16F628
'Hoparlör PORTB.0 bitine bağli

DIM W AS BYTE	'Çevrim Tablosu için Sayaç
DIM Sayac AS BYTE	'W registeri için sayaç
DIM Muzik AS BYTE	'W registeri için sayaç
W = 0 	 		
Sayac = 0
CEVIR:
	
	Sayac = Sayac + 1 'Sayac'in içeriğini 1 arttir
	IF Sayac = 120 THEN 		 '112 Çevrim sonunda programi bitir
	GOTO BITIR
	ENDIF
	MOVF Sayac ,0  				 'A Değerini w registerine ata
	CALL TABLO				 'Çevrim tablosunu çağir
	MOVWF Muzik
	SEROUT PORTB.0,600,[Muzik]
	pause 1	   							 'Buralari salladim.
	   	 	  	  		 'Gerçekle alakasi yoktur.
	GOTO CEVIR

	
TABLO:
	ADDWF PCL , 1					 'PCL içeriğini 1 arttir
     ;-------------------------  0 
;-------------------------  0 
     retlw %10101010   ; aa 
     retlw %10101010   ; aa 
     retlw %10100101   ; a5 
     retlw %10100101   ; a5 
     retlw %10011001   ; 99 
     retlw %10010110   ; 96 
     retlw %10100101   ; a5 
     retlw %01100100   ; 64 
     ;------------------------  8 
     retlw %10111010   ; ba 
     retlw %10001101   ; 8d 
     retlw %10010010   ; 92 
     retlw %11001011   ; cb 
     retlw %00101100   ; 2c 
     retlw %11001001   ; c9 
     retlw %10101001   ; a9 
     retlw %01011010   ; 5a 
     ;------------------------  16 
     retlw %01100110   ; 66 
     retlw %10100101   ; a5 
     retlw %01011001   ; 59 
     retlw %10010101   ; 95 
     retlw %10001011   ; 8b 
     retlw %01001100   ; 4c 
     retlw %11010110   ; d6 
     retlw %00101101   ; 2d 
     ;------------------------  24 
     retlw %00110011   ; 33 
     retlw %01010001   ; 51 
     retlw %01101100   ; 6c 
     retlw %11001101   ; cd 
     retlw %01000111   ; 47 
     retlw %00110110   ; 36 
     retlw %00110101   ; 35 
     retlw %00011100   ; 1c 
     ;-----%-----------------  32 
     retlw %10111000   ; b8 
     retlw %10101100   ; ac 
     retlw %01110010   ; 72 
     retlw %11100010   ; e2 
     retlw %10110001   ; b1 
     retlw %11010011   ; d3 
     retlw %10001010   ; 8a 
     retlw %11001010   ; ca 
     ;-----%-----------------  40 
     retlw %11001110   ; ce 
     retlw %00101011   ; 2b 
     retlw %00110011   ; 33 
     retlw %01101001   ; 69 
     retlw %01001100   ; 4c 
     retlw %11001101   ; cd 
     retlw %10100101   ; a5 
     retlw %00110101   ; 35 
     ;-----%-----------------  48 
     retlw %00101110   ; 2e 
     retlw %10010100   ; 94 
     retlw %11010101   ; d5 
     retlw %10011010   ; 9a 
     retlw %01010110   ; 56 
     retlw %01100101   ; 65 
     retlw %10101001   ; a9 
     retlw %01011010   ; 5a 
     ;------------------------  56 
     retlw %10010110   ; 96 
     retlw %11001001   ; c9 
     retlw %01101010   ; 6a 
     retlw %01011011   ; 5b 
     retlw %00101001   ; 29 
     retlw %11010010   ; d2 
     retlw %01101100   ; 6c 
     retlw %10100111   ; a7 
     ;-----%-----------------  64 
     retlw %01001011   ; 4b 
     retlw %00110010   ; 32 
     retlw %10111001   ; b9 
     retlw %00101100   ; 2c 
     retlw %11001010   ; ca 
     retlw %11101001   ; e9 
     retlw %00110011   ; 33 
     retlw %00101011   ; 2b 
     ;-----%-----------------  72 
     retlw %10100100   ; a4 
     retlw %11001101   ; cd 
     retlw %01001110   ; 4e 
     retlw %00110100   ; 34 
     retlw %10110101   ; b5 
     retlw %00111000   ; 38 
     retlw %11010001   ; d1 
     retlw %11010100   ; d4 
     ;------------------------  80 
     retlw %11100010   ; e2 
     retlw %11000111   ; c7 
     retlw %01010011   ; 53 
     retlw %10001011   ; 8b 
     retlw %00011011   ; 1b 
     retlw %01001100   ; 4c 
     retlw %01101001   ; 69 
     retlw %01101011   ; 6b 
     ;-----%-----------------  88 
     retlw %00110001   ; 31 
     retlw %10100101   ; a5 
     retlw %10101001   ; a9 
     retlw %10101100   ; ac 
     retlw %10001110   ; 8e 
     retlw %10100110   ; a6 
     retlw %01100110   ; 66 
     retlw %00111010   ; 3a 
     ;-----%-----------------  96 
     retlw %10011001   ; 99 
     retlw %10010010   ; 92 
     retlw %11010110   ; d6 
     retlw %01010110   ; 56 
     retlw %01001011   ; 4b 
     retlw %01011001   ; 59 
     retlw %00110011   ; 33 
     retlw %00101101   ; 2d 
     ;-----%-----------------  104 
     retlw %01100010   ; 62 
     retlw %11001010   ; ca 
     retlw %10110101   ; b5 
     retlw %00011011   ; 1b 
     retlw %01001010   ; 4a 
     retlw %11010100   ; d4 
     retlw %01011101   ; 5d 
     retlw %00101010   ; 2a 
     ;------%----------------  112 
     retlw %01010001   ; d1 
     retlw %01101100   ; 6c 
     retlw %11001010   ; ca 
     retlw %11000101   ; c5 
     retlw %10100110   ; a6 
     retlw %01101001   ; 69 
     retlw %00101110   ; 2e 
     retlw %10011001   ; 99 
     ;-----%-----------------  120 
     retlw %10101100   ; ac 
     retlw %01010110   ; 56 
     retlw %01100110   ; 66 
     retlw %10110001   ; b1 
     retlw %11001011   ; cb 
     retlw %01001010   ; 4a 
     retlw %11000110   ; c6 
     retlw %01101100   ; 6c 
	 
	BITIR:
		  END


Eğer serout kullanacaksak Baud hızı ne olmalı???

dentaytr

baud olarak şöyle bi sayfa buldum ararken ben 1 bite göre decode yapmıştım verilen kodu

Sound encoded at 22050 bits/sec
using BTc16 1bit Algorithm to be decoded on

About 1-bit Sound Playback.

Firstly I DID NOT invent 1-bit sound playback using 1 digital output pin!

This system has been used since the earliest days of digital logic chips, and was/is used a lot in cheap microprocessor products that need to make a sound.

A digital output pin is set high or low at a uniform bitrate (frequency) and this harsh output waveform is filtered through hardware and sent to a speaker. Often the filter hardware is just a resistor and the speaker itself, a system used in cheap "talking" toys.

So what did I invent?

* A simple algorithm (system) that enables the encoding of 8-bit ADC sound to 1-bit sound, requiring very little processor time.

* A new encoding and decoding algorithm (BTc 1.5) using TWO digital output pins where one pin is turned on and off as needed, giving faster slew rates and also less PCM noise with no penalty in sound storage.

* BTc Encoder Windows software which does BTc encoding for you and lets you adjust and refine the parameters while you listen to the sound result.

The BTc Algorithm.

The BTc algorithm is an encoding algorithm.



To play back the digital sound through a simple RC filter (resistor-capacitor filter) as seen above, the sound must have originally been encoded to MODEL the performance of the RC filter and the bitrate frequency. This is so the sound generated will be the same as the original wave file, (or as close as posible given system limitations).

The system of playing the sound is the "standard" method of turning a digital pin on and off in response to the 0 and 1 bits. The BTc algorithm is a system to model the performance of the playback filter and generate (encode) the correct sequence of 0 and 1 bits so that playback is as close as possible to the original sound.

Modeling an RC filter.



Seen above is a model of an RC filter. It can be clearly seen that the eight 0 bits cause the waveform to drop, but more subtle is the fact that each 0 bit causes the wave to drop a different distance. This is exactly how the RC filter responds. It can also be seen that the two 1 bits make the waveform rise differing amounts, for the same reason.

An RC filter charges and discharges on an exponential curve based on the values of Resistance, Capacitance and Time.

The formula used in electronics is this;

Tc = RC

Where;
Tc is the "time constant"
(Tc is the time taken for the capacitor to charge 63.2%)
R is Resistance in ohms
C is Capacitance in farads

So during every Tc period, the capacitor voltage charges 63.2% of the distance from where it is, to where it is going.

Modeling the RC filter is relatively simple, for each new bit we can calculate +/- 63.2% (times the RC constant) in each direction from where the waveform currently is, and choose the one that is closest to the target waveform. Unfortunately two calculations of 63.2% times the RC constant require quite a bit of processing time...

Using BTc to make this job easier.

BTc is an incredibly simple algorithm, which is why it can be encoded so quickly and why encoding is so easy to implement on a tiny cheap microprocessor. It is based on two main principles;

* By choosing exact values for R and C it forces the Tc value to equal the desired bitrate. This means that the modeling process no longer has to compensate RC vs real time per bit and the encoding process per bit becomes two calculations; +/- 63.2%. 

* By further modifying the R and C values it forces the Tc value to equal a percentage of 63.2%. This simple step allows encoding to use a Binary Time Constant (BTc). A binary time constant like 50% means instead of doing the 63.2% calculation the microprocessor can do a x50% calculation, or divide by 2, which is only one microprocessor instruction! 

It is that simple!

By choosing RC values according to the desired Binary Time Constant (BTc) the entire per-bit encoding process only requires simple subtractions and left and right shifts (binary multiplications). This eliminates the floating point multiplications and the process can now be optimised for extremely easy encoding on any microprocessor.

The BTc time Constants.

A time constant of 50% is not extremely useful as it is too quick. If the waveform was to change 50% for every bit it would introduce a lot of PCM noise. However 50% (divide by 2) is not the only BTc value! Here are a few;

BTc2	50%
BTc4	25%
BTc8	12.5%
BTc16	6.25%
BTc32	3.125%

Again the encoding algorithm models the chosen BTc value simply by choosing appropriate R and C component values.

For example with the BTc16 algorithm the waveform voltage moves 1/16th (or 6.25%) of the distance towards Max or Min volts for each period of the set bitrate.

The BTc 1.5bit Algorithm

During the original BTc design I designed and implemented a second algorithm, still using only binary multiplications but using 2 digital output pins where 1 pin can be made high-impedance (disconnected).



This system still uses 1-bit sound storage, so it has no penalty in storage size but does give improved sound. 

It costs one more resistor and one more tristate digital output pin, and a small penalty in slightly increased playback code.

More about the BTc Algorithms.

If you need more information about the BTc algorithms, or procedural examples showing how to implement encoding within your own microprocessor or PC application you can read more about it on my web page;

www.RomanBlack.com
"İnsanlara akılları ölçüsünde söz söyleyiniz."  hayat 1 ve 0 dan ibaret değildir insanlar hayatı 1 ve 0 a indirgeyerek anlamaya ve çözümlemeye calışır hayat sonsuz sayıda rakam ve sonsuz ilimden meydana gelir   http://www.robo-cards.blogspot