spartan-3e yardım

Başlatan demirkan1, 23 Mart 2011, 14:14:59

demirkan1

Merhaba arkadaşlar,
spartan-3e ve FX2 modülünü kullanarak 2 adet dipswitch'i (8 bit)  karşılastırmak için küçük bir program yazdım simülasyonda herşey normal görünüyor ancak gerçek deneme kitinde ilk 4 bit veya son 4 bitin karşılaştırmasını yapıyor.
örneğin ;
ccd_renk 2 yapıyorum adc_renk 8 yapıyorum normal çalışıyor
ccd_renk 2 yapıyorum adc_renk 15 yapıyorum normal çalışıyor
ccd_renk 2 yapıyorum adc_renk 16 yapıyorum çalışmıyor
ccd_renk 16 yapıyorum adc_renk 255 kadar  normal çalışıyor
yani 0-15 arası ve 16-255 arası sorun yok ama 0-255 arası çalışmıyor
yani ilk 4 bitleri veya son 4 bitleri karşılaştırıyor ancak simülasyonda bir sorun yok sanırım donanımsal olarak bir yerde hata yapıyorum
bu konu hakkında yardımcı olabilirmisiniz. (yazdığım kod aşağıda)
kolay gelsin

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;
use IEEE.STD_LOGIC_arith.ALL;
entity ccd_de3 is
    Port ( cikis : out  STD_LOGIC_VECTOR (7 downto 0);
            ccd_renk:in STD_LOGIC_VECTOR (7 downto 0);
            adc_renk:in STD_LOGIC_VECTOR (7 downto 0);
           clk : in  STD_LOGIC);
end ccd_de3;
architecture Behavioral of ccd_de3 is
signal cikis_temp:STD_LOGIC_VECTOR (7 downto 0);
begin
cikis<=cikis_temp;
process (clk)
  variable say:integer:= 0;
   begin
   if (clk'event and clk='1')then
      say:=say+1;
      if (say=1000000)then
         cikis_temp<= cikis_temp+1;
         say:=0;
      end if;
      
      if ccd_renk < adc_renk then
         cikis_temp<="11110000";
      end if;
      
      if ccd_renk > adc_renk then
         cikis_temp<="00001111";
      end if;
end if;
end process;
end Behavioral;

demirkan1

Arkadaşlar pin tanımlamasında sıralama hatası yapmışım, sıralamayı düzeltince kod normal çalıştı.yani hata bendeymiş
..... konu kapanmıştır ......

expertelektronik

sanırım konu hakkında bilginiz var benimde ise web pack kullanımında yada aldığım kablodan kaynaklanan bir sorunum var yardımcı olabilirmisiniz acaba